function task-wait task $argv[1] mod wait:+$argv[2] and task end